' Name : blinkx.pbp ' Compiler : PICBASIC PRO Compiler 2.6 ' Assembler : PM or MPASM ' Target PIC : 40-pin 16F877A, 18F452 or similar ' Hardware : Lab-X1 ' Oscillator : 4MHz external crystal ' Keywords : LAB-X1, LED ' Description : PICBASIC PRO program to blink an LED connected ' to PORTD.0 about once a second ' Define LOADER_USED to allow use of the boot loader. ' This will not affect normal program operation. Define LOADER_USED 1 LED var PORTD.0 ' Alias PORTD.0 to LED mainloop: High LED ' Turn on LED connected to PORTD.0 Pause 500 ' Delay for .5 seconds Low LED ' Turn off LED connected to PORTD.0 Pause 500 ' Delay for .5 seconds Goto mainloop ' Go back to loop and blink LED forever End